Vivadoのライセンス更新

こういう作業が一番めんどくさいhttps://docs.xilinx.com/r/ja-JP/ug973-vivado-release-notes-install-license/%E3%83%A9%E3%82%A4%E3%82%BB%E3%83%B3%E3%82%B9-%E3%82%AD%E3%83%BC-%E3%83%95%E3%82%A1%E3%82%A4%E3%83%AB%E3%81%AE%E7%94%9F%E6%88%90環境は…

個人的に使うLinuxコマンド

自分用のメモです。毎回調べるようなコマンドを追記していきます。目次 Linuxコマンド ps (プロセス確認) バックグラウンドプロセス含めすべて表示 バックグラウンドでプロセスを実行 g++ (C++コンパイル) 作業系 sudo権限を付与する Git関連 ステータス確認…

PetaLinuxでSSH接続

※完全に自分のメモ用です。説明を省略した部分が多いです。 ※前回の続きです berota.hatenablog.com はじめに Zybo Z7-10にLinuxを入れたからにはSSH接続で色々やりたい。将来的には入力をFPGA側で制御して、タイムスタンプ付きでテキストにまとめてホストし…

Zybo Z7-10にLinuxを入れる

※完全に自分のメモ用です。説明を省略した部分が多いです。 はじめに FPGAに触れる機会があり、Vivadoでの開発をそこそこやってみた。 Verilogに慣れてきたところで、Zybo Z7-10を弄ってみることにした、Zybo Z7-10はFPGAだけでなくCPUが搭載されており、ネ…

サーバー上でXserverが使えなくなったが何とか直した話

自分用のメモです。 研究室内のサーバーにVScodeでssh接続して解析ツールを使っていました。 実験で習得したデータが正しいか、ヒストグラムを書いて確認… だがXserverが機能せず…GUIが出てこない!! ということで解決法をメモしておきます。 使用したサー…

コマブロだけで疑似的にレシピを追加する Minecraft(JE)

ふと、コマブロだけでクラフトレシピが追加できないか思い立ち、色々試したので共有。 本+エメラルドでエンチャントされた本をクラフトしてみます。 コマンドの作成にはMCstacker様を使わせていただきました。 レシピの設定 上向きのドロッパーを2つ用意しま…